Painel Administrativo >> Relatório de Artigos >> Artigo

Artigo


344

Desenvolvimento e Realização de um Oscilador Trifásico Senoidal de Referência Baseado em FPGA. Uma Experiência de Aprendizagem Autêntica.;

José Roberto Quezada Peña, Felipe Penha Alves;

COBENGE17

[1] 01. Métodos e Meios de Ensino/Aprendizagem de Engenharia e de Tecnologia

[1] 01.1. Inovação no Ensino/Aprendizagem

Neste trabalho é apresentada uma proposta de aplicação de aprendizagem autêntica para apoio no conteúdo prático (laboratório) da disciplina Eletrônica de Potência do curso de Engenharia Elétrica da Universidade Federal do Maranhão (UFMA). Este ensino experimental se propõe inovador ao intentar expor o aluno em uma situação de projeto real, intimamente relacionada com a área de conhecimento, tornando-o protagonista de sua educação mudando o cenário de estudo em sala de aula. A partir do desenvolvimento do ciclo de projeto de um Oscilador Trifásico Senoidal de Referência (OTSR),fazendo uso das ferramentas disponibilizadas no MULTISIM, da National Instruments, a Captura/Simulação SPICE e a ferramenta de cossimulação PLD Design, propõe-se realizar um desenvolvimento tecnologicamente atualizado desse bloco funcional fazendo uso de técnicas de modelamento e descrição de Hardware, em VHDL (VHSIC Hardware Description Language), e sua síntese na Plataforma DIGITAL ELECTRONICS FPGA BOARD (DEFB), para NI-ELVIS II. A proposta é um produto do Laboratório de Desenvolvimento de Objetos, Modelos e Protótipos Inovadores de Aprendizado para apoio ao ensino da Eletrônica de Potência e Instrumentação (LabMOPA- EPI), do Departamento de Engenharia de Eletricidade da UFMA. O LabMOPA-EPI tem sua origem motivada dentro da iniciativa CAPES-DED e ABENGE, denominada de: Programa de Engenharia a Distância em Rede Nacional do Sistema Universidade Aberta do Brasil - UABEng, que ocorreu no ano de 2014, e segue a diretriz de produzir material e metodologias para apoio ao ensino de Engenharia Elétrica, no ensino experimental de Eletrônica de Potência.

Eletrônica de Potência, Aprendizagem Autêntica, Oscilador de Referência, Descrição de Hardware, PLD Design.
Voltar